Elektronika.lt
 2024 m. kovo 28 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 Forumas / + pokalbiai
 - Paieška forume
 - D.U.K. / Forumo taisyklės
 - Narių sąrašas
 - Registruotis
 - Prisijungti

Elektronika.lt portalo forumas

Jūs esate neprisijungęs lankytojas. Norint dalyvauti diskusijose, būtina užsiregistruoti ir prisijungti prie forumo. Prisijungę galėsite kurti naujas temas, atsakyti į kitų užduotus klausimus, balsuoti forumo apklausose.

Administracija pasilieka teisę pašalinti pasisakymus bei dalyvius, kurie nesilaiko forumo taisyklių. Pastebėjus nusižengimus, prašome pranešti.

Dabar yra 2024 03 28, 21:09. Visos datos yra GMT + 2 valandos.
 Forumas » Programavimas » c/c++
Jūs negalite rašyti naujų pranešimų į šį forumą
Jūs negalite atsakinėti į pranešimus šiame forume
Jūs negalite redaguoti savo pranešimų šiame forume
Jūs negalite ištrinti savo pranešimų šiame forume
Jūs negalite dalyvauti apklausose šiame forume
 
  
Puslapis 22
Pereiti prie Atgal  1, 2
Pradėti naują temą  Atsakyti į pranešimą Rodyti ankstesnį pranešimą :: Rodyti kitą pranešimą 
 c/c++
PranešimasParašytas: 2017 11 15, 16:36 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Ne visai taip. 1+1==2, tad | yra ne aritmetine suma, o logine suma.
Negalima vienareiksmiskai pakeisti ( 7 << 0 ) | ( 1 << 2 ) reiskiniu 7 + 4
 c/c++
PranešimasParašytas: 2017 11 16, 08:56 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Sauliucka
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Siųsti el. laišką
Buvau klaidingai įsitikinęs, kad PORTD=(1<<PDx) priskiria tik tam portui užduotą lygį ir pastebėjau, kad PORTD=(0<<PDx) mano atveju yra totalus briedas.
Dabar viskas aišku, dėkui už šviesą tunelio gale. Very Happy

p.s. reikia meilužei pasakyti, kad eini pas žmoną, žmonai pasakyti, kad eini pas meilužę, o pačiam pasislepti palėpėj ir taip daryti, kaip darė "didysis tautos vadas": mokytis, mokytis ir dar kartą mokytis...
 c/c++
PranešimasParašytas: 2019 10 11, 09:22 Pranešti apie taisyklių pažeidimą Atsakyti su citata
vvv2
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Apsilankyti vartotojo tinklapyje
Sauliucka rašo:
Buvau klaidingai įsitikinęs, reikia: mokytis, mokytis ir dar kartą mokytis...

- truputį į "šoną", bet apie C/C++ skirtumus. 32 bitų ir 64 bitų testukas rodo, kad C/C++ 64 bitų suka tą patį ciklą apie 20% greičiau!

Kodas:
for(int b=0;--b<=0;)*c=b;


p.s.
.. čia bandžiau su RAD studio C++ Builder v10.3.2. Gali būti priežastis transliatoriuose, nes 32 bitai naudoja seną, o 64 bitai naują, tačiau faktas vis tiek įdomus.

Smile
 c/c++
PranešimasParašytas: 2019 10 11, 09:59 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Cia dar kokiam procui kompiliuoji ir su kuriuo kompiliatorium. Pvz, vienas optimistiskai bus nusiteikes perejimui i prieki, o kitas loopui...
 c/c++
PranešimasParašytas: 2019 11 04, 13:35 Pranešti apie taisyklių pažeidimą Atsakyti su citata
vvv2
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Apsilankyti vartotojo tinklapyje
AlgisL rašo:
Čia dar su kuriuo kompiliatorium. Pvz, vienas optimistiskai bus nusiteikes perejimui, o kitas loopui...

- testai stebina toliau, pasirodo ciklas cikle su int16_t veikia greičiau apie 30% nei tokio pat ilgio int32_t vientisas ciklas! Tiek 32, tiek 64 bitų realizacijose.

Kodas:
volatile static int a,*c=&a;

 for(int16_t j=0;--j!=0;)               // greitesnis
   for (int16_t b=0; --b!= 0;)
      *c=b+3;

for (int32_t b=0;--b!=0;)            // slow, don't work in optimized mode
            *c=b+3;


laiką matuoju su chrono..

Kodas:
std::chrono::milliseconds t1,t2;
    t1 = std::chrono::milliseconds(clock());
    loop1();
    t2 = std::chrono::milliseconds(clock());
    dd = std::chrono::duration_cast<std::chrono::milliseconds>(t2-t1).count();


Smile
 c/c++
PranešimasParašytas: 2019 11 04, 15:48 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
atnru atveju galimai kompileris int_16 priverstinai priveda i int_32 ir nevertina, kad operacijos rezultatas vistiek int_16...
pabandyk su uint
 c/c++
PranešimasParašytas: 2019 11 04, 16:48 Pranešti apie taisyklių pažeidimą Atsakyti su citata
vvv2
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Apsilankyti vartotojo tinklapyje
AlgisL rašo:
atnru atveju galimai kompileris int_16 priverstinai priveda i int_32..

. sorry, to negali būti, atydžiau pažiūrėk į kodą.. Smile ciklo pradžia nuo nulio iki nulio, t.y. pilnas intervalas. Bandžiau ir int64_t, bet neužteko kantrybės sulaukti galo..

Sad
 c/c++
PranešimasParašytas: 2019 11 04, 17:28 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
vvv2 rašo:
AlgisL rašo:
atnru atveju galimai kompileris int_16 priverstinai priveda i int_32..

. sorry, to negali būti, atydžiau pažiūrėk į kodą.. Smile ciklo pradžia nuo nulio iki nulio, t.y. pilnas intervalas. Bandžiau ir int64_t, bet neužteko kantrybės sulaukti galo..

Sad

Ne cikle reikalas, o matematineje f-leje kur skiriasi b kintamojo tipas.
Beje, nuskaitymas tiesiog 16bit i 16bit registra greitesnis, nei 16bit nuskaitymas i 32bit registra, kai 31..24 bitus dar reikia koreguoti ivertinant zenkla.
 c/c++
PranešimasParašytas: 2019 11 04, 18:15 Pranešti apie taisyklių pažeidimą Atsakyti su citata
vvv2
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Apsilankyti vartotojo tinklapyje
AlgisL rašo:
.. dar reikia koreguoti įvertinant ženklą.

- kodėl Grb "Algi" nenaudojate nosinių? Dabar slogų periodas..

p.s.
.. tai ir sakau, praktika neigia studijų mokslą, kad ciklas-cikle yra non-sence.

Smile
 c/c++
PranešimasParašytas: 2019 11 04, 19:23 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
As kompiuterazmu uzsikreciau dar 198x pradzioje, o tada nebuvo jokiu nosiniu klavose, o ir didesne dalis klavu buvo ЙЦУКЕНГ koduotes.
Kad tusciai nesigincyti, geriau bus jei pateiksite asm.lst failo turini.
 c/c++
PranešimasParašytas: 2019 11 04, 19:27 Pranešti apie taisyklių pažeidimą Atsakyti su citata
vvv2
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Apsilankyti vartotojo tinklapyje
AlgisL rašo:
A.. geriau bus jei pateiksite asm.lst failo turini.

- apie tai ir aš svajojau, bet kai setupe uždaviau rodyti *.asm kodą, nieko negavau.. Naujoji karta su naujuoju "clang" kompiliatoriumi tuo neužsiima..

p.s.
.. iš viso, "naujoji karta" neturi supratimo apie mašininį kodą, visas supratimas baigiasi html protokolu su šnipinėjimu..

Sad
 c/c++
PranešimasParašytas: 2019 11 04, 19:36 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
tada isbandyk taip:
*c=3+(int16_t)b;
 c/c++
PranešimasParašytas: 2019 11 04, 20:17 Pranešti apie taisyklių pažeidimą Atsakyti su citata
vvv2
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Apsilankyti vartotojo tinklapyje
AlgisL rašo:
tada isbandyk taip:*c=3+(int16_t)b;

- mano nuomone tu klysti ta prasme, kad laiką užima priskyrimas, mano nuomone laiką užimą ciklo skaitčiuoklė.

p.s.
..dar, mano nuomone 16 bitų skaičiuoklė yra efektyvesnė už 32bit skaitčiuoklę.
 c/c++
PranešimasParašytas: 2019 11 04, 20:41 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Visgi, gal vietoje speliojimu pateis disasm koda.
Pradėti naują temą  Atsakyti į pranešimą Pereiti prie Atgal  1, 2
 
Forumo sistema: phpBB
 „Google“ paieška forume
 Kas naujesnio?
 Naujos temos forume

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

„Deinavos baldai“ — šeimos baldai

FS 22 Tractors
Farming Simulator 19 Mods, FS 22 Maps, FS22 Mods
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS22 Trucks
VAT calculator
VAT number check, What is VAT, How much is VAT
Paskola internetu
Vartojimo paskola, paskola automobiliui, paskola būsto remontui
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS22 Mods
FS22 Harvesters, FS22 Tractors Mods, FS22 Maps Mods
FS22 Mods
FS22 Maps,
FS22 Harvesters,
FS22 Tractors
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
Sims 4 Mods
Sims 4 CC Clothes,
Sims 4 Hair CC,
Sims 4 Skill Cheat
Optic sight
Binoculars for hunting elk,
Best compact binoculars,
Riflescope hunting
Reklama
‡ 1999– © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Turinys | Reklama | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina
Script hook v, Openiv, Menyoo
gta5mod.net
Farming Simulator 2019 Mods, FS22 Mods, FS22 Maps
farmingsimulator19mods.fr
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama