Elektronika.lt
 2024 m. kovo 28 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 Forumas / + pokalbiai
 - Paieška forume
 - D.U.K. / Forumo taisyklės
 - Narių sąrašas
 - Registruotis
 - Prisijungti

Elektronika.lt portalo forumas

Jūs esate neprisijungęs lankytojas. Norint dalyvauti diskusijose, būtina užsiregistruoti ir prisijungti prie forumo. Prisijungę galėsite kurti naujas temas, atsakyti į kitų užduotus klausimus, balsuoti forumo apklausose.

Administracija pasilieka teisę pašalinti pasisakymus bei dalyvius, kurie nesilaiko forumo taisyklių. Pastebėjus nusižengimus, prašome pranešti.

Dabar yra 2024 03 28, 15:17. Visos datos yra GMT + 2 valandos.
 Forumas » Automatika, robotai, RC modeliai » Truputis C
Jūs negalite rašyti naujų pranešimų į šį forumą
Jūs negalite atsakinėti į pranešimus šiame forume
Jūs negalite redaguoti savo pranešimų šiame forume
Jūs negalite ištrinti savo pranešimų šiame forume
Jūs negalite dalyvauti apklausose šiame forume
 
  
Puslapis 11
Pradėti naują temą  Atsakyti į pranešimą Rodyti ankstesnį pranešimą :: Rodyti kitą pranešimą 
 Truputis C
PranešimasParašytas: 2008 12 02, 00:08 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Hades
Dažnas dalyvis
Dažnas dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Sveiki, sudomino mane tos galimybes programuot mikrokontrolerius, programinu su C kalba per IAR, ir turiu klausimeli kaip issprest problema. Esu pasidares plokste su ledais ir viena knopke nefiksuojama tad niekaip nepadarau normaliai veikiancios programos kad ta pacia knopke spaudziant vis kita programa vyktu. Problema kad laimes dalykas kai paspaudi klavisa kokia programa isijunks pirma ar antra, cia pvz dariausi su 2 bet lengvai galima dadet dar kruva... jei tik veiktu Smile

#include <io2313.h>
void programa1();
void programa2();
unsigned int i=0, o=0;

void main()
{
DDRB=255;
PORTD=1;
while(1)
{
if(PIND&1)i=0; else programa1(); //pirmos knopkes paspaudimas tada pradeda veiksmas }
}

void programa1()
{
while(1)
{
for(i=0; i<50000; i++); //be sito delsimo isvis sunku ijunkt kita prog.
if(PIND&1)PORTB=255; else programa2(); //kaska dariau kad jei nepaspausi klaviso dar karta nepereis i programa2 }
}

void programa2()
{
while(1)
{
for(i=0; i<50000; i++); //be sito delsimo isvis sunku ijunkt kita prog.
if(PIND&1)PORTB=170; else programa1(); //cia vel laukia paspaudimo ir gryzta i pirma prog. }
}

bandziau imti pvz is visokiu taimeriu kur su knopke didina laika ir t.t. bet ten naudoja visokius "#define BUTTON_PLUS 1 " ir dar visaip apraso o iki to dar nedaejau :\
 Truputis C
PranešimasParašytas: 2008 12 02, 07:18 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AndriuZ
Užkietėjęs dalyvis
Užkietėjęs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą ICQ numeris
o anknopkes uzlygiagretintas kosk kondas ?
bo kitaip prisigaudo triuksmu ir realaii galima sakyt random paspaudimu skaicius gaunas Wink
 Truputis C
PranešimasParašytas: 2008 12 02, 08:06 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Hades
Dažnas dalyvis
Dažnas dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
AndriuZ rašo:
o anknopkes uzlygiagretintas kosk kondas ?
bo kitaip prisigaudo triuksmu ir realaii galima sakyt random paspaudimu skaicius gaunas Wink


nu ne, knopke tiesiogiai su zeme jungia ir viskas, atkreipiau demesi kad vienur varzos kitur kondikai dar dedas bet is www.elektronika.lt imta schema tai negalvojau kad reik, nu jei tik pades pabandysiu vakare uzmest koki...
 Truputis C
PranešimasParašytas: 2008 12 02, 15:09 Pranešti apie taisyklių pažeidimą Atsakyti su citata
centronic
Užkietėjęs dalyvis
Užkietėjęs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
cia su winavr... iejimas turi but pajungas per varza i nuli - pull-down, ar kaip ten
Kodas:

void main(){
...
while(1){  //amzinas ciklas
  prog1();  //pradeda pirma prog.
  prog2();
...
  progn();
}
}
//*********************************************************
void prog1(){
  while(1){  //laukia kol bus atleista knopke PIND.0 = 0
    if(bit_is_clear(PIND,0)){ 
      pauze(500);  //pauze 0.5 s
      break;
    }
  }
  while(1){
    [prog. kodas...]
    if(bit_is_set(PIND,0)){//baigia prog. nusp. knopke PIND.0 = 1
      pauze(500);           
      return;
    }
  }
}
//*********************************************************
void prog2(){
   while(1){
    if(bit_is_clear(PIND,0)){
      pauze(500);
      break;
    }
  }
  while(1){
    [prog. kodas...]
    if(bit_is_set(PIND,0)){
      pauze(500);  // pauze 0.5 sek
      return;
    }
  }
}
 Truputis C
PranešimasParašytas: 2008 12 03, 09:06 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Hades
Dažnas dalyvis
Dažnas dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
nu koda reiks pasiverst i paprastesni arba gal kas zino kokias bibliotekas reik ikelt kad galeciau su IAR irgi taip rasyt "if(bit_is_clear(PIND,0))" ,o ne "if(PIND&1)PORTB=255; else programa2(); "
Pradėti naują temą  Atsakyti į pranešimą
 
Forumo sistema: phpBB
 „Google“ paieška forume
 Kas naujesnio?
 Naujos temos forume

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

„Deinavos baldai“ — šeimos baldai

FS 22 Tractors
Farming Simulator 19 Mods, FS 22 Maps, FS22 Mods
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS22 Trucks
VAT calculator
VAT number check, What is VAT, How much is VAT
Paskola internetu
Vartojimo paskola, paskola automobiliui, paskola būsto remontui
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS22 Mods
FS22 Harvesters, FS22 Tractors Mods, FS22 Maps Mods
FS22 Mods
FS22 Maps,
FS22 Harvesters,
FS22 Tractors
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
Sims 4 Mods
Sims 4 CC Clothes,
Sims 4 Hair CC,
Sims 4 Skill Cheat
Optic sight
Binoculars for hunting elk,
Best compact binoculars,
Riflescope hunting
Reklama
‡ 1999– © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Turinys | Reklama | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina
Script hook v, Openiv, Menyoo
gta5mod.net
Farming Simulator 2019 Mods, FS22 Mods, FS22 Maps
farmingsimulator19mods.fr
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama