Elektronika.lt
 2024 m. kovo 29 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 Forumas / + pokalbiai
 - Paieška forume
 - D.U.K. / Forumo taisyklės
 - Narių sąrašas
 - Registruotis
 - Prisijungti

Elektronika.lt portalo forumas

Jūs esate neprisijungęs lankytojas. Norint dalyvauti diskusijose, būtina užsiregistruoti ir prisijungti prie forumo. Prisijungę galėsite kurti naujas temas, atsakyti į kitų užduotus klausimus, balsuoti forumo apklausose.

Administracija pasilieka teisę pašalinti pasisakymus bei dalyvius, kurie nesilaiko forumo taisyklių. Pastebėjus nusižengimus, prašome pranešti.

Dabar yra 2024 03 29, 17:13. Visos datos yra GMT + 2 valandos.
 Forumas » Programavimas » VHDL programavimas
Jūs negalite rašyti naujų pranešimų į šį forumą
Jūs negalite atsakinėti į pranešimus šiame forume
Jūs negalite redaguoti savo pranešimų šiame forume
Jūs negalite ištrinti savo pranešimų šiame forume
Jūs negalite dalyvauti apklausose šiame forume
 
  
Puslapis 11
Pradėti naują temą  Atsakyti į pranešimą Rodyti ankstesnį pranešimą :: Rodyti kitą pranešimą 
 VHDL programavimas
PranešimasParašytas: 2018 10 18, 21:38 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Spizius
Naujokas
Naujokas
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Sveiki,

gal kas galėtų padėti su programavimu VHDL+ModelSim?
 Re: VHDL programavimas
PranešimasParašytas: 2018 10 18, 22:13 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Socrates
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Spizius rašo:
Sveiki,

gal kas galėtų padėti su programavimu VHDL+ModelSim?


Klausk cia kas nesigauna. Idek kodo gabala ir testbencho gabala. Gal ir padesim, jei prisiminsim.
 VHDL programavimas
PranešimasParašytas: 2018 10 19, 18:52 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Spizius
Naujokas
Naujokas
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Vienu žodžiu reikia įdiegti skaitmens mirksėjimo funkciją, gal kas žino kaip tai padaryti?



library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
use work.Basys2.ALL;

entity Basys2Lcd is
Port (
-- taktinis signalas
clk : in std_logic;
-- kokias busenas rodyti kiekvienam skaitmeniui
digits : in LcdDigits;
seg : out std_logic_vector (6 downto 0); -- simboliu katodu aktyvavimo signalai
an : out std_logic_vector (3 downto 0); -- anodu aktyvavimo signalai
dp : out std_logic -- tasko katodo aktyvavimo signalas
);
end Basys2Lcd;

architecture Behavioral of Basys2Lcd is
constant COUNTER_SIZE : integer := 5;
signal clkCnt : unsigned (COUNTER_SIZE-1 downto 0) := (others => '0');
alias activeDigit : unsigned (1 downto 0) is clkCnt(COUNTER_SIZE-1 downto COUNTER_SIZE-2);
--signal activeSeg : unsigned (1 downto 0); -- aktyvaus segmento numeris
signal anodes: std_logic_vector (3 downto 0);

begin

clkDivider: process(clk)
begin
if clk'event and clk='1' then
clkCnt <= clkCnt + 1;
end if;
end process;

an <= anodes;

segmentActivator: process(activeDigit, digits)
begin
-- 0
-- ---
-- 5 | | 1
-- --- <- 6
-- 4 | | 2
-- ---
-- 3

case digits(to_integer(activeDigit))(3 downto 0) is
when "0000" => seg <= "1000000"; --0
when "0001" => seg <= "1111001"; --1
when "0010" => seg <= "0100100"; --2
when "0011" => seg <= "0110000"; --3
when "0100" => seg <= "0011001"; --4
when "0101" => seg <= "0010010"; --5
when "0110" => seg <= "0000010"; --6
when "0111" => seg <= "1111000"; --7
when "1000" => seg <= "0000000"; --8
when "1001" => seg <= "0010000"; --9
when "1010" => seg <= "0001000"; --A
when "1011" => seg <= "0000011"; --b
when "1100" => seg <= "1000110"; --C
when "1101" => seg <= "0100001"; --d
when "1110" => seg <= "0000110"; --E
when others => seg <= "0001110"; --F
end case;
end process;

digitActivator: process(activeDigit, digits)
begin

for i in 3 downto 0 loop
if i = to_integer(activeDigit) then
-- ijungiam skaiciaus rodyma, jei jis ijungtas skaiciaus konfiguracijos registre
anodes(i) <= '0' nor digits(to_integer(activeDigit))(7);
else
-- isjungiam skaiciaus rodyma
anodes(i) <= '1';
end if;
end loop;

--case activeDigit is
--when "01" => an <= "1101";
--when "10" => an <= "1011";
--when "11" => an <= "0111";
--when others => an <= "1110";
--end case;
end process;

dotActivator: process(activeDigit, digits)
begin
-- jei ijungtas skaiciaus tasko rodymas, tai ijungiam tasko signala
dp <= not digits(to_integer(activeDigit))(6);
end process;

end Behavioral;
 VHDL programavimas
PranešimasParašytas: 2018 10 19, 20:34 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Socrates
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Ta prasme kad visi skaiciai mirgsetu?
Susikurk enable signala, kuri issivesi is clk per counteri. Tuomet skaiciukus isvesk tik tada, kai enable = '1'.

Pradziai kad suprast kaip padaryt - daryk kad mirgsetu vienas segmentas (pvz DP). Pavyzdziai internete butu kaip su VHDL padaryti blinker.
 VHDL programavimas
PranešimasParašytas: 2018 10 20, 11:07 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Spizius
Naujokas
Naujokas
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
OK pamėginsu pažaist.
Dėkui
Pradėti naują temą  Atsakyti į pranešimą
 
Forumo sistema: phpBB
 „Google“ paieška forume
 Kas naujesnio?
 Naujos temos forume

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

„Deinavos baldai“ — šeimos baldai

FS 22 Tractors
Farming Simulator 19 Mods, FS 22 Maps, FS22 Mods
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS22 Trucks
VAT calculator
VAT number check, What is VAT, How much is VAT
Paskola internetu
Vartojimo paskola, paskola automobiliui, paskola būsto remontui
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS22 Mods
FS22 Harvesters, FS22 Tractors Mods, FS22 Maps Mods
FS22 Mods
FS22 Maps,
FS22 Harvesters,
FS22 Tractors
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
Sims 4 Mods
Sims 4 CC Clothes,
Sims 4 Hair CC,
Sims 4 Skill Cheat
Optic sight
Binoculars for hunting elk,
Best compact binoculars,
Riflescope hunting
Reklama
‡ 1999– © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Turinys | Reklama | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina
Script hook v, Openiv, Menyoo
gta5mod.net
Farming Simulator 2019 Mods, FS22 Mods, FS22 Maps
farmingsimulator19mods.fr
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama