Elektronika.lt
 2024 m. balandžio 25 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 Forumas / + pokalbiai
 - Paieška forume
 - D.U.K. / Forumo taisyklės
 - Narių sąrašas
 - Registruotis
 - Prisijungti

Elektronika.lt portalo forumas

Jūs esate neprisijungęs lankytojas. Norint dalyvauti diskusijose, būtina užsiregistruoti ir prisijungti prie forumo. Prisijungę galėsite kurti naujas temas, atsakyti į kitų užduotus klausimus, balsuoti forumo apklausose.

Administracija pasilieka teisę pašalinti pasisakymus bei dalyvius, kurie nesilaiko forumo taisyklių. Pastebėjus nusižengimus, prašome pranešti.

Dabar yra 2024 04 25, 11:41. Visos datos yra GMT + 2 valandos.
 Forumas » Mikrovaldikliai » Pasufleruokit kodo gabaliuką
Jūs negalite rašyti naujų pranešimų į šį forumą
Jūs negalite atsakinėti į pranešimus šiame forume
Jūs negalite redaguoti savo pranešimų šiame forume
Jūs negalite ištrinti savo pranešimų šiame forume
Jūs negalite dalyvauti apklausose šiame forume
 
  
Puslapis 11
Pradėti naują temą  Atsakyti į pranešimą Rodyti ankstesnį pranešimą :: Rodyti kitą pranešimą 
 Pasufleruokit kodo gabaliuką
PranešimasParašytas: 2017 08 23, 11:18 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Sauliucka
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Siųsti el. laišką
Lyg ir ne pirmadienis, bet gavosi iš serijos: "Atėjo mintis į galvą - nieko nerado ir išėjo."

Pasufleruokit kodą (c++) dėl būsenos pasikeitimo, įėjimo lygiui pasikeitus (mygtukas, reiktų saugotis ir nuo "drebezgų") daugiau nei vieną kartą (praktiškai bus 2 kartai) per laiko intervalą (apie sekundę).

Jei paprasčiau: normali įėjimo busena be signalo yra log.1 , išėjime jokios reakcijos, kol nepakliūna du kartus log.0 per sekundę, tada kol antrasis signalas dar yra su log.0 neribotą laiką - išėjime pokytis. Antrajam signalui (po neriboto laiko) pasibaigus (perėjo į log.1) išėjime prapuola bet kokia reakcija.
 Pasufleruokit kodo gabaliuką
PranešimasParašytas: 2017 08 23, 12:53 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Badas
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Kaip drebezgus nufiltruot - rasi tona pavyzdziu su "debounce". O jau nufiltruotu paspaudimu logika tokia:

if(inSignal != prevSignal) {
if (!inSignal) {
downCounter++;
downTime = getCurrentTime();
if (downCounter == 2) setOutput(ACTIVE);
} else setOutput(INACTIVE);
}
prevSignal = inSignal;
if(getCurrentTime() - downTime > ONE_SECOND) downCounter = 0;

Slyksciai kodas be indentu atrodo...
 Pasufleruokit kodo gabaliuką
PranešimasParašytas: 2017 08 23, 14:38 Pranešti apie taisyklių pažeidimą Atsakyti su citata
hencia
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Badas rašo:
Slyksciai kodas be indentu atrodo...

O kam tada forume reikalingas [code]Kodas...[/code]?
Kodas:
if(inSignal != prevSignal) {
  if (!inSignal) {
    downCounter++;
    downTime = getCurrentTime();
    if (downCounter == 2) setOutput(ACTIVE);
  } else setOutput(INACTIVE);
}
prevSignal = inSignal;
if(getCurrentTime() - downTime > ONE_SECOND) downCounter = 0;
 Pasufleruokit kodo gabaliuką
PranešimasParašytas: 2017 08 23, 15:49 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Badas
Patyręs dalyvis
Patyręs dalyvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
hencia rašo:

O kam tada forume reikalingas [code]Kodas...[/code]?

As ne hakeris kad visus kodus zinociau rodauSpyga();
 Pasufleruokit kodo gabaliuką
PranešimasParašytas: 2017 08 23, 17:44 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
su debaounce ir siek tiek efektyviau:
Kodas:
// init
uint8_t btnPressed = 0, btnState = 0, downCounter = 0;
uint32_t downTime = 0, pinChangedTime = getCurrentTime();
uint8_t prevBtnPin = -1;

// loop ... test buttonPin
uint32_t curTime = getCurrentTime();
uint8_t curBtnPin = getBtnPin();
if ( curBtnPin != prevBtnPin ) {
  pinChangedTime = curTime;
  prevBtnPin = curBtnPin;
} else
if ( DEBOUNCE_TIME <= curTime - pinChangedTime ) {
  btnPressed = ( BTN_ACTIVE == curBtnPin );
  if ( btnPressed != btnState ) {
    btnState = btnPressed;
    if ( btnPressed ) {
      downTime = curTime;
      ++downCounter;
      if ( 2 == downCounter ) setOutput( OUT_ACTIVE );
    } else setOutput( OUT_INACTIVE );
  }
}
if ( ONE_SECOND < curTime - downTime )
  downCounter = 0;
 Pasufleruokit kodo gabaliuką
PranešimasParašytas: 2017 08 25, 12:24 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Sauliucka
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą Siųsti el. laišką
Padariau dvi klaidas:
1. Ne toj skilty temą užvedžiau. Reikėjo "Programavimas" o ne "Mikrovaldikliai".
2. Pirmam poste pamiršau nurodyti, kad iš manes programuotojas - kaip iš kiaulės sinoptikas. Ačiū už mintis, tik dabar turėsiu gerą pusmetį kuo užsiimti, kol atsirankiosiu ne kai kurių kringelių paskirtį. Ir dar pusmetį, kad visa tai paverst kūnu ir krauju.
Very Happy
Pradėti naują temą  Atsakyti į pranešimą
 
Forumo sistema: phpBB
 „Google“ paieška forume
 Kas naujesnio?
 Naujos temos forume

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

„Deinavos baldai“ — šeimos baldai

FS 22 Tractors
Farming Simulator 19 Mods, FS 22 Maps, FS22 Mods
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS22 Trucks
VAT calculator
VAT number check, What is VAT, How much is VAT
Paskola internetu
Vartojimo paskola, paskola automobiliui, paskola būsto remontui
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS22 Mods
FS22 Harvesters, FS22 Tractors Mods, FS22 Maps Mods
FS22 Mods
FS22 Maps,
FS22 Harvesters,
FS22 Tractors
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
Sims 4 Mods
Sims 4 CC Clothes,
Sims 4 Hair CC,
Sims 4 Skill Cheat
Optic sight
Binoculars for hunting elk,
Best compact binoculars,
Riflescope hunting
Reklama
‡ 1999– © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Turinys | Reklama | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina
Script hook v, Openiv, Menyoo
gta5mod.net
Farming Simulator 2019 Mods, FS22 Mods, FS22 Maps
farmingsimulator19mods.fr
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama