Elektronika.lt
 2024 m. kovo 19 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 Forumas / + pokalbiai
 - Paieška forume
 - D.U.K. / Forumo taisyklės
 - Narių sąrašas
 - Registruotis
 - Prisijungti

Elektronika.lt portalo forumas

Jūs esate neprisijungęs lankytojas. Norint dalyvauti diskusijose, būtina užsiregistruoti ir prisijungti prie forumo. Prisijungę galėsite kurti naujas temas, atsakyti į kitų užduotus klausimus, balsuoti forumo apklausose.

Administracija pasilieka teisę pašalinti pasisakymus bei dalyvius, kurie nesilaiko forumo taisyklių. Pastebėjus nusižengimus, prašome pranešti.

Dabar yra 2024 03 19, 12:39. Visos datos yra GMT + 2 valandos.
 Forumas » Skaitmeninė elektronika » Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
Jūs negalite rašyti naujų pranešimų į šį forumą
Jūs negalite atsakinėti į pranešimus šiame forume
Jūs negalite redaguoti savo pranešimų šiame forume
Jūs negalite ištrinti savo pranešimų šiame forume
Jūs negalite dalyvauti apklausose šiame forume
 
  
Puslapis 12
Pereiti prie 1, 2  Toliau
Pradėti naują temą  Atsakyti į pranešimą Rodyti ankstesnį pranešimą :: Rodyti kitą pranešimą 
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 10:04 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Chulio
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Senokai beturėjau reikalų su tokiais dalykais, tai ir pasiklydau truputėlį...

Reikalas toks, kad reikia dviženklį skaičių išskirstyti į atskirus skaitmenis, pvz. turim skaičių "45", reikia gauti "4" ir "5"

Viso to reikia dvejetainėje sistemoje. Pagal tą patį pvz. būtų:
turim 101101, t.y. "45"
reikia gaut 000100 ("4") ir 000101 ("5")

Reikia kuo paprastesnio būdo...

_________________
I GAVE UP DRINKING, SMOKING AND SEX!
it was the worse 15 minutes of my life!
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 10:12 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Jei c kalboje:
desimtys = skaicius / 10;
vienetai = skaicius % 10;

Jei tai elektriniai signalai:
1) ROM atitikciu lentele;
2) mikrovaldiklis apskaiciuojantis ar imantis reiksmes is lenteles;

P.S. dar patikslink "4" ir "5" nes binarine ir simboline reiksmes yra ne taspat.


Paskutinį kartą redagavo AlgisL, 2015 01 30, 10:17. Redaguota 1 kartą
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 10:16 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Chulio
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
O jei matematiškai arba iš loginių elementų?

Šiaip tai man to reikia loginiais elementais arba VHDL kalboje...

_________________
I GAVE UP DRINKING, SMOKING AND SEX!
it was the worse 15 minutes of my life!
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 11:08 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Socrates
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Pasidomek Binary Coded Decimal ir Double dabble arba kitaip vadinamu shift and add 3 algorithm.

Jei darai ant FPGA ar CPLD, tai pranesk kur tau to reikia, gal zinau koki optimalu sprendima.
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 11:10 Pranešti apie taisyklių pažeidimą Atsakyti su citata
AlgisL
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Chulio rašo:
O jei matematiškai arba iš loginių elementų?

Šiaip tai man to reikia loginiais elementais arba VHDL kalboje...

leciausias variantas: http://vhdlguru.blogspot.com/2010/03/vhdl-function-for-division-two-signed.html
greiciausias variantas: lentele[256] is 8 bitu elementu
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 12:05 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Chulio
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Socrates rašo:
..............................
Jei darai ant FPGA ar CPLD, tai pranesk kur tau to reikia, gal zinau koki optimalu sprendima.

Ant CPLD.
Čia yra skaičių nuo 0 iki 63 indikacija LED displėjuje. Šiaip tai jau esu padaręs indikaciją, primityviai (kadangi prastas programeris iš manęs), su "if else". Dabar reikia supaprastint viską, nes prireikė papildyt programą ir pritrūkau vietos čipe.
Įėjimas - 6 paraleliniai bitai, išėjimas turi būti du atskiri bin skaičiai (dešimtims ir vienetams).
Skaičių atskyrimas veikia be išorinio clk.

_________________
I GAVE UP DRINKING, SMOKING AND SEX!
it was the worse 15 minutes of my life!
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 12:40 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Socrates
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Nezinau koki CPLD naudoji, bet nenaudodamas clock'o tu turi procesus su N signalu sensitivity list'e. Nezinau kaip greitai ten signalai vartosi, bet toks sprendimo budas tau eda visa logika.

Siaip aprasyta kaip tavo problema isspresti cia:
http://stackoverflow.com/questions/23871792/convert-8bit-binary-number-to-bcd-in-vhdl

Kas del skaiciu atvaizdavimo, tai naudok case - nieko geresnio is esmes nepadarysi, nes susintezavus vistiek rezultate gausi lookup table'a:
http://vhdlguru.blogspot.ie/2010/03/vhdl-code-for-bcd-to-7-segment-display.html

Kitas variantas yra naudot ROM'a, nors nezinau kiek atminties tavo CPLD turi. Ieinanti skaiciu naudok kaip adresa, o gauta reiksme panaudok savo tikslams.
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 13:30 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Chulio
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Dėkui, studijuosiu Wink

Socrates rašo:
................ Nezinau kaip greitai ten signalai vartosi, .................................

Greičio galima sakyt nėra. Užtenka kad sureagutų greičiau nei žmogaus ranka judesį sugeba padaryt (pvz. paspaust knopkę)
Socrates rašo:
................................
Kas del skaiciu atvaizdavimo, tai naudok case - nieko geresnio is esmes nepadarysi, ............................

Tai su "case" ir yra padaryta. Tiesiog noriu pabandyt supaprastint patį simbolių atrinkimą, kuriuos vėliau (su "case") siunčiu į tam tikrą ekrano vietą Rolling Eyes
Simbolių ir kombinacijų daug, tai ir taip tas displėjus (12 pozicijų, alphanumeric) naudoja du CPLD ir išorinį counter'į. Smile
Užsinorėjau vieną papildomą užrašą įdėt, tai pritrūkau vietos ir ieškau kur jos sutaupyt supaprastinant programą.

Normalus programeris visa tai padarytų su kokia viena atmega, o man kaip mėgėjui/experimentatoriui kažkaip labiau CPLD patinka, nes ten galima programint ir tekstu ir loginiais elementais, o po to dar viską apjungt Cool

_________________
I GAVE UP DRINKING, SMOKING AND SEX!
it was the worse 15 minutes of my life!
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 14:06 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Socrates
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Atsidaryk report'a ir pasiziurek kiek dedikuotos atminties naudoji. CPLD taip ir nepaminejai.
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 14:24 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Chulio
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Socrates rašo:
Atsidaryk report'a ir pasiziurek kiek dedikuotos atminties naudoji. .................

Visko ten naudoju arti 100%. Jei sintezuot "default", tai gerokai mažiau, bet kai įėjimus/išėjimus priskiriu tam tikroms kojoms, tada jau vos ne ant ribos.
Socrates rašo:
............ CPLD taip ir nepaminejai.

xilinx

_________________
I GAVE UP DRINKING, SMOKING AND SEX!
it was the worse 15 minutes of my life!
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 16:20 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Socrates
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Permazai su xilinx as dirbes... 9536 CPLD? Neoptimaliai sudelioja logika ten itariu.
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 01 30, 18:02 Pranešti apie taisyklių pažeidimą Atsakyti su citata
Digix
VIP narys
VIP narys
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
gal reiketu perziureti pacia uzduoti?
vietoje dvejetainio skaiciaus vertimo i desimtaini iskart skaiciavimus atlikti desimtainiu kodu

O kitu atveju cia reikes tikrai per daug CPLD/fpga resursu
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 07 07, 18:07 Pranešti apie taisyklių pažeidimą Atsakyti su citata
a1girdas
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
Citata:
skaičių nuo 0 iki 63 indikacija LED displėjuje
jo-majo, o tai kodėl elementaraus dešifratoriaus nepanaudot? iš skaičiaus tiesiai į septynsegmentį indikatorių . . .
nenustebčiau, jei xilinx'o bibliotekose yra gatavas.
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 07 07, 18:36 Pranešti apie taisyklių pažeidimą Atsakyti su citata
sopkls
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
a1girdas rašo:
Citata:
skaičių nuo 0 iki 63 indikacija LED displėjuje
jo-majo, o tai kodėl elementaraus dešifratoriaus nepanaudot? iš skaičiaus tiesiai į septynsegmentį indikatorių . . .
nenustebčiau, jei xilinx'o bibliotekose yra gatavas.

Gimtadieniui dovanų kastuvėlį gavai? Pamėgai senose temose kapstytis.
 Dviženklio skaičiaus išskyrimas į atskirus skaitmenis
PranešimasParašytas: 2015 07 07, 19:44 Pranešti apie taisyklių pažeidimą Atsakyti su citata
a1girdas
Senbuvis
Senbuvis
Peržiūrėti vartotojo aprašymą Siųsti asmeninį pranešimą
xilinx'ą pagūglinau, prireikė šio bei to. ogi "elektronika.lt" pirmuosiuose gūglės rezultatuose Mr. Green
Pradėti naują temą  Atsakyti į pranešimą Pereiti prie 1, 2  Toliau
 
Forumo sistema: phpBB
 „Google“ paieška forume
 Kas naujesnio?
 Naujos temos forume

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

„Deinavos baldai“ — šeimos baldai

FS 22 Tractors
Farming Simulator 19 Mods, FS 22 Maps, FS22 Mods
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS22 Trucks
VAT calculator
VAT number check, What is VAT, How much is VAT
Paskola internetu
Vartojimo paskola, paskola automobiliui, paskola būsto remontui
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS22 Mods
FS22 Harvesters, FS22 Tractors Mods, FS22 Maps Mods
FS22 Mods
FS22 Maps,
FS22 Harvesters,
FS22 Tractors
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
Sims 4 Mods
Sims 4 CC Clothes,
Sims 4 Hair CC,
Sims 4 Skill Cheat
Optic sight
Binoculars for hunting elk,
Best compact binoculars,
Riflescope hunting
Reklama
‡ 1999– © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Turinys | Reklama | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina
Script hook v, Openiv, Menyoo
gta5mod.net
Farming Simulator 2019 Mods, FS22 Mods, FS22 Maps
farmingsimulator19mods.fr
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama