Elektronika.lt
 2024 m. spalio 21 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 Forumas / + pokalbiai
 - Paieška forume
 - D.U.K. / Forumo taisyklės
 - Narių sąrašas
 - Registruotis
 - Prisijungti

Elektronika.lt portalo forumas

Jūs esate neprisijungęs lankytojas. Norint dalyvauti diskusijose, būtina užsiregistruoti ir prisijungti prie forumo. Prisijungę galėsite kurti naujas temas, atsakyti į kitų užduotus klausimus, balsuoti forumo apklausose.

Administracija pasilieka teisę pašalinti pasisakymus bei dalyvius, kurie nesilaiko forumo taisyklių. Pastebėjus nusižengimus, prašome pranešti.

Dabar yra 2024 10 21, 01:00. Visos datos yra GMT + 2 valandos.
 Forumas » Ieškoti
Buvo rasta 15 rezultatai(ų)
 
Puslapis 11
 AVR ADC kanalo pasirinkimas
Forumas: Mikrovaldikliai
Parašytas: 2012 04 14, 20:44
zenia
na as bandziau rasyt ir
ADMUX=(1<<MUX0);
ADMUX=(1<<MUX2);

visteik tik ADC0 skaito.
 AVR ADC kanalo pasirinkimas
Forumas: Mikrovaldikliai
Parašytas: 2012 04 14, 19:44
zenia
Sveiki, rasau programele kuri isvestu ADC verte i LCD.
Viskas kaip ir veikia, bet ADC kanalas visuomet vienas ir tas pats PC0(ADC0). Bandau keisti ADMUX registro reiksmes MUX2-0 ir nieko visuomet vie ...
 LCD biblioteka ir F_CPU
Forumas: Elektronika žaliems
Parašytas: 2012 04 14, 12:19
zenia
Padejo tavo mintis Smile
Zodziu as tiesiog pakeiciau include vietomis "lcd.h" inicilizavau pirma delay.h ir viskas veikia, nes tam lcd.h yra F_CPU define.
 LCD biblioteka ir F_CPU
Forumas: Elektronika žaliems
Parašytas: 2012 04 12, 11:32
zenia
Aciu uz patarima. Jei teisingai supratau indefikavus F_CPU pries LCD.h inkluda, tas "delay.h" inkludas jau tures taktini dazni ir ji jau nebutina nustatinet per Project>setings... ir warn ...
 LCD biblioteka ir F_CPU
Forumas: Elektronika žaliems
Parašytas: 2012 04 12, 10:53
zenia
Sveiki galit kas isaiskint paprastai kaip su AVR studio4 rasant programa nustatomas taktinis mikrovaldiklio daznis.

Kaip as zinau yra funkcija Project>setings... ten galima nustatyti dazni, BET ...
 PCB takeliu plocio skaiciavimas
Forumas: Schemų montažas, skaičiavimai, derinimas
Parašytas: 2010 05 25, 16:29
zenia
Sveiki gal kas gali trumpai paaiskint kaip yra apskaiciuojami maitinimo takeliu plociai, na kad nekaistu ir panasiai. Gerai butu su formulem. Sitos informacijos man reik vienai schemai aprasyt ( kodel ...
 rite mikrafo pajungimui
Forumas: Schemų montažas, skaičiavimai, derinimas
Parašytas: 2010 04 16, 11:56
zenia
Labadiena,
turiu GSM modulį ir noriu prie jo pajungti mikrafa (modulyje yra tokia galimybe)
,bet kad pajunti reik 33 nH rites signaliniam laidaui ir zemei + po kondika. Tokio mazo induktyvumo ritės ...
 Užblokuota ATmega16
Forumas: Mikrovaldikliai
Parašytas: 2010 03 25, 14:12
zenia
na as kai keiciau fuse tai pagal
http://www.engbedded.com/fusecalc/
ten pasirinkau atmega8 ir 7.3 MHz kvarcui parinkau
Ex.RC.Osc 3-8 MHz matyt cia susiparinau pas mane juk kvarcas Very Happy
o siaip tai ...
 Užblokuota ATmega16
Forumas: Mikrovaldikliai
Parašytas: 2010 03 24, 22:40
zenia
Siaip man tas pats su atmega8. Su vidiniu dirbo ciki kai tik pajungiau ant isorinio 7.37 MHz iskart error ismete. Jau 2 uzlokinau taip. Kvarcas per 2.54 mm nuo pin yra su 22pF kondikais.
 Ar galima dar pataisyt ATmega8L??
Forumas: Mikrovaldikliai
Parašytas: 2010 03 21, 19:42
zenia
"Gal pabandyt idet ta generatoriu prie Xtal1 kojos ar neapsimoka.". Tokio klausimo negali būti. Pirma bandai su išoriniu generatoriumi, o tada darai išvadas.
Siaip as nzn ka daryti tokia si ...
 Ar galima dar pataisyt ATmega8L??
Forumas: Mikrovaldikliai
Parašytas: 2010 03 21, 12:10
zenia
LAbaa dien visiem,
zodziu turiu atmega8L dirbau suja programavau viskas veike puikiai, kol kaskas atsitiko betrinant viena programa is ATmegos per ponyprog ir daugiau neeina nieko irasyt i mikrovaldi ...
 Kaip perduoti garsa per GSM/GPRS moduli
Forumas: Principinės elektrinės schemos
Parašytas: 2010 01 24, 15:01
zenia
Labadiena. Gal kas galetu prasviet mane biski. Kaip galima perduoti garsa per GSM moduli. Per google iskau, bet nzn tiksliai ka rasyt ismeta visokius aprasymus ,ne i tema visai. Man nors pacia minti p ...
 GPS antenos gamyba
Forumas: Radioelektronika – imtuvai
Parašytas: 2009 11 27, 23:08
zenia
Labas. mano patarimas parastas pagal mae pas tave pitch antena tas kvadratas. Siaip si paveiksliuko modelio nesimato bet vsvien tau reiks antena nuimt. pamatysi kur eina iejimas i baseband proca ar ir ...
 Elektros lauko indikatoriaus schema
Forumas: Schemų montažas, skaičiavimai, derinimas
Parašytas: 2009 05 13, 23:10
zenia
Na as su EWB sumodeliavau tai dega tik kai antena elektros lauke tada VT1 uzsidaro VT2 atsidaro ir krenta Itampa INV iejime komparatoriaus. ir isejime U=9 V uzdega dioda o normalios busenos kai VT 1 ...
 Elektros lauko indikatoriaus schema
Forumas: Schemų montažas, skaičiavimai, derinimas
Parašytas: 2009 05 13, 18:23
zenia
Labadien gal kas gali patarti kodel man neveikia sita schemute. Inesus sita schema i elektros lauka ji turi uzdegt dioda o pasmane ji visa laika sviecia tik kai prie antenos, kuri detektuoja ta lauka ...
Forumo sistema: phpBB
 „Google“ paieška forume
 Kas naujesnio?
 Naujos temos forume

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

Draugiškas internetas

FS25 Tractors
Farming Simulator 25 Mods, FS25 Maps, FS25 Trucks
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS25 Mods
VAT calculator
VAT number check, What is VAT, How much is VAT
LEGO
Mänguköök, mudelautod, nukuvanker
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS25 Mods
FS25 Harvesters, FS25 Tractors Mods, FS25 Maps Mods
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
FS25 Mods
FS25 Maps, FS25 Cheats, FS25 Install Mods
GTA 6 Weapons
GTA 6 Characters, GTA 6 Map, GTA 6 Vehicles
FS25 Mods
Farming Simulator 25 Mods
Reklama
‡ 1999– © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Turinys | Reklama | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina
Script hook v, Openiv, Menyoo
gta5mod.net
FS25 Mods, FS25 Tractors, FS25 Maps
fs25mods.lt
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama